Реферат: Разработка устройства логического управления Структурный синтез

ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

КУРСОВАЯ РАБОТА

по дисциплине

«Элементы систем автоматики»

“Разработка устройства логического управления ”

Содержание

1.Введение

2.Структурный синтез управляющего автомата

2.1 Построение направленного графа абстрактного автомата

2.2 Кодирование внутренних состояний и выбор типа памяти

2.3 Определение логических функций возбуждения памяти.

2.4 Составление таблицы траекторий.

2.5 Выбор элементов и микросхем.

2.6 Составление модели в OrCAD на основе полученных упрощенных выражений и проверка правильности работы модели

2.7 Результаты моделирования схемы автомата.

3. Выбор аналоговых элементов

3.1 Датчик индукции.

4. Выбор схем, реализующих заданные передаточные функции, вспомогательные функции и реализация коммутаций устройств со схемой автомата Мура

4.1 Таймер

4.2 Тактовый генератор

4.3 Устройство начального пуска

4.4 Устройство реализации функции F1

4.5 Устройство реализации функции F2

4.5 Устройство индикации

4.6 Разработка устройств реализующих В1, В2.

5 Устройства сопряжения

5.1 Согласование электролампы с выходом автомата.

5.2 Устройства сопряжения и нормализация шкалы датчика

5.3. Устройства опорного напряжения

6. Возвращение разряда.

7. Устройство коммутации с внешними элементами.

8. Заключение.

1.Введение

Современное промышленное производство является сложным комплексным процессом, который требует быстрого и многомерного контроля за всеми параметрами. Такой контроль был бы невозможен без применения современной электронной техники и автоматики вследствие того, что существуют многочисленные физические явления недоступные для простого визуального контроля. В настоящее время промышленная автоматика развивается значительными темпами, что связано с постоянно повышающимся уровнем сложности и качества технологических процессов. Электронные промышленные устройства являются сложными системами, в состав которых входят энергетические преобразователи, элементы электропривода, микропроцессорные узлы обработки информации и связи с внешними управляющими объектами, а также датчики различного назначения, устройства согласования с объектом управления. Очевидно, что задача разработки промышленного автомата включает в себя комплекс проблем, которые сами по себе представляют отдельную область современной электроники. Важно обеспечить высокую надежность и защиту от сбоев, поскольку существуют технологические процессы, нарушение которых может привести к катастрофическим последствиям опасным для жизни людей и окружающей среды. Поэтому создание таких устройств требует от разработчика хороших знаний в области электроники и в области технологических процессов, для управления которыми создается промышленный автомат.

Целью данного курсового проекта является разработка электронного автомата при заданных входных сигналах и контролируемых параметрах, а также исполнительных устройствах. Курсовой проект предусматривает решение основных задач реального инженерного проектирования электронной техники: структурный синтез, разработку принципиальной схемы, моделирование основных функциональных узлов, конструирование. Функционирование автомата производится по приведенному в задании алгоритму.

2.Структурный синтез управляющего автомата

2.1 Построение направленного графа абстрактного автомата

На этапе проектирования цифрового автомата выбираем синхронный автомат Мура. Выбор асинхронного автомата был бы осложнен необходимостью устранения эффекта “гонок”, а выбор синхронного автомата Мили – усложнением комбинационно-логического устройства.

Автомат Мура состоит из комбинационно-логического устройства (КЛУ) и триггерной подсистемы. КЛУ формирует логическую функцию переходов, т.е. определяет, каким образом автомат переходит в следующее состояние. При этом учитывается текущее состояние, код которого хранится в триггерной подсистеме. При построении направленного графа автомата Мура операторные вершины граф-схемы ставятся в соответствие состояниям автомата. Переход в новое состояние осуществляется в зависимости от содержания условной вершины, следующей за операторной. Направленный граф автомата представлен на рис. 1.

Построение направленного графа автомата Мура:

Преобразование производим так, чтобы истинное значение состояния соответствовало «1», а ложное – «0».

Таблица состояний

Таблица 1

Q3

Q2

Q1

Q0

Y

1

1

1

1

2

1

1

1

3

1

4

1

1

1

5

1

1

6

Учитывая:

0=000

1=001

2=010

3=011

--PAGE_BREAK--

4=100

5=101

6=110

Убираем старший разряд и получаем:

Таблица 2

Q2

Q1

Q0

Y

1

1

1

2

1

1

3

1

4

1

1

5

1

1

6


Здесь использованы следующие логические условия и сигналы:

B1= b1/>b2 B2=b1/>b2 ;

В дальнейшем используются следующие сокращения:

/>

/>

Сигналы:

S – сигнал контактного датчика (S=0 – контакт разомкнут, S=1   контакт замкнут); />временная задержка, />.

Направленный граф автомата построен, исходя из заданного алгоритма, и имеет шесть состояний, соответствующих операторным вершинам исходного алгоритма.

2.2 Кодирование внутренних состояний и выбор типа памяти

Поскольку автомат имеет шесть внутренних состояний, потребуется использовать трехразрядный код и соответственно три ячейки памяти. Это следует из формулы:

n=[целая часть(log2N)]+1,

где N — число внутренних состояний автомата; n — количество ячеек памяти.

В качестве элементов памяти применяются динамические D — триггеры, таким образом, автомат будет синхронным. Отказ от разработки асинхронного автомата связан со сложностью кодирования состояний асинхронного автомата с учетом эффекта «гонок». В связи с этим надежность асинхронного автомата при воздействии внешних возмущений, которые присутствуют в промышленных условиях, будет невысокой. Например, наличие импульсных помех в сигнальных цепях внешних датчиков и каналов связи может привести к ложным переключениям логических элементов, если не использовать дополнительных мер по защите от помех. При этом синхронный автомат более устойчив к импульсным помехам, так как входной сигнал D – триггера должен быть зафиксирован заранее, до прихода тактового перепада, на время не меньшее чем защитный интервал.

2.3 Определение логических функций возбуждения памяти.

Определим функции возбуждения памяти. При составлении функций возбуждения памяти учитываются только те переходы, включая петли, при которых в соответствующем разряде логический «0» меняется на «1» или «1» сохраняется.

По графу составляем передаточные функции

/>

Упростив выражения, применяя алгебру логики, получим:

/>

Теоретически возможны дальнейшие преобразования приведенных выражений и их минимизация в ещё большей степени, но в данном случае минимизация производилась с учетом использования мультиплексоров при реализации автомата.

Таким образом, число элементарных логических элементов в схеме автомата будет сведено к минимуму.

2.4 Составление таблицы траекторий

Составим таблицу траекторий (таблица 1):

Таблица 1

№ п/п

При переменных

Переходы

1

/>/>/>

01->11->11->01

2

/>/>/>/>

01->11->11->110->011

3

/>/>/>/>

01->11->11->100->101

4

/>/>/>/>/>

01->11->11->100->010->110

    продолжение
--PAGE_BREAK----PAGE_BREAK--

Х

Х

Параллельная запись

1

1

1

Хранение

1

Хранение

1

0/>1

1

Прямой счет

1

1

0/>1

Обратный счет

Таблица .5

Вход параллельной записи обозначается иногда на схемах также L, С, а выходы переносаобозначаются также CR и BR.

После сброса счетчик начинает счет по положительным фронтам на счетных входах от нулевого кода. После параллельной записи счет начинается от числа, записанного в счетчик. После переполнения счетчика ИЕ7 (достижения кода 1111) при прямом счете вырабатывается отрицательный сигнал переноса> 15, повторяющий входной отрицательный импульс на входе +1 с задержкой. После достижения кода 0000 при обратном счете вырабатывается отрицательный сигнал переноса< 0, повторяющий входной отрицательный импульс на входе –1 с задержкой.

Входные сигналы счета, записи и сброса не должны быть слишком короткими. Не должен быть слишком малым временной сдвиг между сигналами на входах D1–D8 и сигналом записи как в начале импульса записи, так и в его конце (сигнал записи -WR должен начинаться после установления входного кода, а заканчиваться — до снятия входного кода).

/>

Рис. 8.Формирователь временного интервала.

Через заданный интервал времени после сигнала запуска, который придет с автомата, высокий уровень на выходе таймера сменится на низкий. Так как через />нам необходимо получить “1” то подключим к выходам таймеров инверторы. Тогда через 3с сигналы />примут истинные значения. Из блок-схемы (Рис.9) видно, что 3-секундный таймер должен запускается при выходном состоянии автомата «001». Т.к. таймер запускается отрицательным импульсом, то на вход элементов таймера подключим КЛУ.

Выходной импульс заданной длительности начинается сразу после входного сигнала, но длительность его может отличаться от заданной на какое-то время, меньшее периода тактового сигнала. Иногда это более приемлемое решение, особенно при больших длительностях выходного сигнала, значительно больших, чем период тактового сигнала. Схема формирователя временного интервала показана на рис.4.

Работа схемы начинается с подачи короткого отрицательного импульса –Старт. Он перебрасывает триггер, который разрешает работу счетчиков снятием сигнала параллельной записи –WR. По отрицательному фронту входного сигнала начинается положительный выходной сигнал заданной длительности. Счетчики начинают считать на уменьшение кода по положительным фронтам тактового сигнала с генератора. Когда они досчитают до нуля, вырабатывается сигнал переноса, перебрасывающий триггер в исходное состояние. Работа схемы возобновится после следующего сигнала – Старт.

Если входной код равен 300, то длительность выходного сигнала составит от 3600Т до 3601Т, где Т — период тактового сигнала, в зависимости от момента прихода входного сигнала по отношению к тактовому сигналу. Абсолютная погрешность выдержки длительности выходного сигнала в любом случае не превышает периода тактового сигнала Т. Погрешность при Т=0.01с равна

/>

4.2 Тактовый генератор

В качестве тактового генератора будем использовать микросхему КР531ГГ1. Данная микросхема удобна тем, что на выходе мы получаем стандартный сигнал ТТЛ логики и простоту управления частотой.

Микросхема представляет собой два независимых генератора, частота которых определяется напряжением.

Каждый генератор имеет два входа для управления частотой: U– управление частотой, DU– управление диапазоном частоты. Если на вход Uподан высокий уровень, а на DUнизкий, то для фиксации частоты следует подсоединить между входами Свнвнешний элемент – конденсатор или кварцевый резонатор.

На выходах мультивибраторов получается меандр с частотой

/>

Приведенное выше выражение справедливо только для ТТЛ серии.

По входу ЕIвходную последовательность можно запретить, если подать напряжение высокого уровня.

/>

Рис. 9. Схема подключения генератора

находим емкость, необходимая длячастоты 100Гц из уравнения:

Отсюда />

Выбираем конденсатор: К10-17А М47 5000пФ, 5%

4.3 Устройство начального пуска

Устройство представляет собой RC– цепь, формирующую при включении низкий потенциал на входе сброса D– триггеров, для принудительного установления начального состояния.

Схема устройства приведена ниже:

/>

Рис. 10 Устройство начального сброса

.

Величины элементов выбираем следующие: R25=1 кОм, С10=25 нФ.

Резистор типа: С2-29В-0.125-1кОм±1%.

Конденсатор: К50-35-25В-24нФ.

4.4 Устройство реализации функции F1

Фильтр низких частот второго порядка (F1)

F1– ФНЧ второго порядка, fгр = 100Гц, Ку =3дБ, подъём на fгр+3 дБ, х1=+3 дБ.

Передаточная функция ФНЧ описывается следующим уравнением:

/>

/>

/>

Для данного фильтра

/>

Если />/>

/>/>/>;

/>/>

Для сравнения сигнала с фильтра и сравнения его с заданным воспользуемся формулой

/>

    продолжение
--PAGE_BREAK--

Отсюда для сравнения будем брать величину

/>/>

Для получения Uоп используем источник тока REF200 с выходом 100мкА и резистором 141 кОм.

Схема реализации функции />представлена на рис. 9.

Схема реализации Uоп представлена на рис. 10.

R1 С2-23имп. 0.25 Вт, 1%, 39 кОм

R2 С2-23имп. 0.25 Вт, 1%, 56 кОм

R3 С2-23имп. 0.25 Вт, 1%, 3.9 кОм

С2-(К50-35) 0,47 мкф х 50 в,85 гр., эл-лит.конд.

С2-23 0,125/0,25 1% 1 кОм

С2-23 0,125/0,25 1% 300 Ом

C2 — К50-35-25В-0.47 мкФ

C1 — К50-35-25В-20 нФ

Устройство сравнения:

DA1 — К140УД17Б

DA– K1401CA1

ФНЧ 2-го порядка

/>

Рис. 11. ФНЧ 2-го порядка.

/>

Рис 12. Источник опорного нпряжения

4.5 Устройство реализации функции F2

Аппроксимирующий преобразователь.

Реализация функции F2:

Аппроксимирующий преобразователь – преобразователь реализующий принцип кусочно-линейной аппроксимации.

Рис.16. Аппроксимирующий преобразователь.

Зависимость выходного напряжения от входного представлена на рис.17

Статическая характеристика.

На первом и втором участке преобразователь работает как услилтель:

на первом участке с коэф. усления />выбираем R1=10koM/>

на втором участке коэф. усиления />

/>

Переход на 2 участок осущсетвляется когда выходное напряжение />, тогда открываются диоды D4 и D3. переход на третий участок осуществляется за счет ограничения тока двух-анодного стабилитрона.

Операционный усилитель — TL082,

Ризисторы:

R1: С2-23имп. 0.25 Вт, 1%, 10 кОм

R2: С2-23имп. 0.25 Вт, 1%, 20 кОм

R5=R6: С2-23имп. 0.25 Вт, 1%, 430 Ом

R3=R4: С2-23имп. 0.25 Вт, 1%, 82 кОм

Стабилитроны: D1N4469

Диоды: D1N3900

Для получения Uоп используем источник тока REF200 с выходом 100мкА и резистор С2-23 0,125/0,25 82кОм.

4.6 Устройство индикации

Индикациясостояния таймера.

Для индикации состояния таймера были использованы семисегментные индикаторы типа АЛС324Б. Схема соединения индикаторов показана на рис.1

При конструировании устройства индикаторы устанавливаются на передней панели, слева направо, начиная с HL1. Стабисторы D1 и D2 (1N4732A) служат для предотвращения перегрузки преобразователя кода. Принцип их действия заключается в том что напряжение источника питания +5V распределяется между тремя сопротивлениями нагрузки и сопротивлениями D1 и D2, сопротивлением сегмента индикатора и сопротивлением транзисторного ключа микросхемы. Поскольку суммарное падение напряжения на сегменте индикатора и ключа микросхемы не должно превышать 2 – 2,5 V (при этом ток через эти элементы будет в пределах допустимого), в большинстве подобных схем раньше использовался ограничительный резистор, который устанавливался в разрыв провода между микросхемой и индикатором. При этом на каждый индикатор требовалось 7 таких резисторов.

Установить один общий резистор мешала его линейность, из–за которой, например, цифра 1 светилась очень ярко, а цифра 8 была практически не видна. Использование нелинейных элементов (стабисторов) позволило решить эту проблему. Благодаря нелинейной ВАХ падение напряжения на них остается практически постоянным, независимо от количества горящих сегментов, и поэтому яркость всех цифр одинакова. Применение такой схемы питания индикаторов позволило отказаться от использования 14 резисторов.

Преобразователь кода.

Преобразователь кода предназначен для перевода двоично – десятичного кода с выходов разрядов счетчиков код семисегментных индикаторов. Принципиальная схема этого блока показана на рис. 2

Он состоит из четырех специализированных микросхем этого КР514ИД1. На входы этих микросхем подается четырех разрядный двоично – десятичный код а выходы подключаются к соответствующим разрядам индикатора. Как видно из схемы для управления индикатором применяется статический метод. Это позволило значительно упростить устройство индикации, хотя и потребовало использование большого количества соединительных линий. (14 штук).

Состояние выходов микросхемы КР514ИД1, сведены в таблицу. 3

Таблица.3

Цифра

Двоичный код

8421

Состояние элементов (A,B,C,D,E,F,G) и значение управляющих сигналов (У1…У7)



X4


X3


X2


X1

A

B

C

D

E

F

G



    продолжение
--PAGE_BREAK----PAGE_BREAK--

1

1

1

1

8

1

9

1

1

1

ИД1 для индикаторов с общим катодом, ИД2 с общим анодом. И для ИД1 были маломощные индикаторы типа АЛС304, которые могли работать напрямую. С малым током сегментов.

Индикация текущего значения таймера (в мин).

4.7 Разработка устройств реализующих В1, В2

По заданию В1=/>, В2=/>, где />логический сигнал ( ТТЛ ).

/>

Рис.24 Схема реализации сигнала B1 и B2.

5 Устройства сопряжения

5.1 Согласование электролампы с выходом автомата

По заданию требуется управлять электролампой с параметрами

/>В, 60Вт.

/>

Для сопряжения автомата с электролампой используем твёрдотельное реле: CX240D5

Техническиепараметры:

CX240D5 реле 3-15VDC, 5A/240 VAC

Таблица 4

Управление

Пост. напр.

Управляющее напряжение мин., В

3

Управляющее напряжение макс., В

15

Напряжение размыкания, В

1

Выходной каскад

тиристорный

Контакты

НР

Коммутируемое переменное напряжение, В

12...280

Максимальный ток нагрузки, А

5

Время включения макс., мс

10

Время выключения макс,, мс

10

Напряжение изоляции, кВ

4

Рабочая температура, С

-30...80

Корпус

SIP

Управляющее напряжение, В

3…15

Схема включения:

Резисторы Rпредназначены для ограничения входного тока реле на уровне 10мА: R=5/0.01=500 Ом. Выбираем резисторы С2-23 0,125/0,25 0.5кОм

5.2 Устройства сопряжения и нормализация шкалы датчика

Так как максимальное напряжение на выходе датчика равно 0.4 В при максимальном значении измеряемой величины 21.5мТл, необходимо привести шкалу к напряжению на выходе датчика равному 5-10мТл

Найдем сколько В приходится на 1мТл: />

/>

U=0 соответствует U=0.078В

U=10 соответствует U=0.17568В

Следует

/>

    продолжение
--PAGE_BREAK--

Используя специальную схему рис.2.5. При выполнении условия

/>

его выходное напряжение будет равно />

/>

R1=1кОм С2-23имп. 0.25 Вт, 1%, 1.0 кОм

R2=101кОм С2-23имп. 0.25 Вт, 1%, 100 кОм

/>

Таким образом Uвх2 = />

Для получения Uвх2используем источник тока REF200 с выходом 100мкА и резистор С2-23 0,125/0,25 71Ом.

5.3. Устройства опорного напряжения

Для реализации опорного напряжения будем использовать источники тока REF200 и сопротивления для получения соответствующего напряжения.

Для получения Uоп для функции F1 используем источник тока REF200 с выходом 100мкА и резисторы R3 С2-23 0,125/0,25 1% 51кОм и R4 С2-23 0,125/0,25 1% 20кОм.

Схема реализации Uоп представлена на рис 13.

Опорное напряжение для функции F2=8lnUH :

Схема получения Uопорн представлена на рис 28.Выбираем резистор R5 из ряда Е96 С2-23 0,125/0,25 1% 100кОм. При таком значении получаем значение Uопорн = 10В. Для получения напряжения сравнения 8В выбираем резистор R С2-23 0,125/0,25 1% 82 кОм.

6. Возвращение разряда.

Таблица 6.


Q2

Q1

Q

У

1

1

1

2

1

3

1

4

1

1

1

5

1

1

1

6

1

1


/>

Индикация Q4

Используемые элементы:

Выбираем резистор R29 из ряда Е96 С2-23 0,125/0,25 1% 24,9кОм.

Светодиод АЛ307АМ, с параметрами UПР = 2В, IПР =10 мА.

7. Устройство коммутации с внешними элементами

Необходимо разработать три устройства для коммутации логических сигналов с симмисторами и электродвигателем.

Для сопряжения автомата с симмисторами используется твёрдотельное реле К293ЛП6Р со следующими параметрами

Таблица 7

Характеристика

ТТЛ-выход (2 канала)

Напряжение изоляции, В

3000

Вход. ток во включ. состоянии, мА

5-2

Скорость передачи данных, Мб/с

2

Ток потребления, мА

10

Выходное напряжение, В

5-25

Тип корп.

DIP-8

Резисторы Rпредназначены для ограничения тока на уровне 10 мА: />. Выбираем резистор 499Ом.

8. Заключение

В результате выполнения курсовой работы был выполнен структурный синтез устройства логического управления, выбор элементной базы; синтез сопряжения по входу и выходу, таймера, тактового генератора и устройства индикации.

В курсовой работе контролируемой величиной является магнитный поток, измеряемое датчиком Холла, который позволяет измерять действующее значение и в зависимости от этого формирует выходное напряжение. При этом требовалось нормирование контролируемой величины, приведение её к шкале 10В для согласования датчика с преобразователем аналоговых сигналов, реализующий функцию F2.

Дискретный автомат был реализован на базе мультиплексора, в качестве элементов памяти были применены динамические D– триггеры.

При выборе схем, реализующих заданные передаточные функции, вспомогательные функции и реализация коммутаций устройств со схемой автомата Мура, были выбраны: таймер, тактовый генератор, устройство начального пуска, устройство реализации функции F1 и F2, устройства опорного напряжения, устройства сопряжения, устройство индикации.

9. Список литературы

Васильев В. И., Миронов В. Н., Гусев Ю. М. Электронные промышленные устройства. – М.: Высшая школа. 1988. – 303 с.

Пухальский Г. И., Новосельцева Т. Я. Проектирование дискретных устройств на интегральных микросхемах: Справочник. – М.: Радио и связь. 1990. – 304 с.

Вуколов Н. И., Михайлов А. Н., Знакосинтезирующие индикаторы: Справочник. – М.: Радио и связь. 1987. – 576 с.

Дубровский В. В., Иванов Д. М. Резисторы: Справочник. – М.: Радио и связь 1991. – 528 с.

Бахтиаров Г. Д., Малинин В. В., Школин В. П. Аналого – цифровые преобразователи. – М.: Советское радио. 1980. – 280 с.


еще рефераты
Еще работы по коммуникациям